您的位置:标准吧 > 标准下载 > CVD法 HANDBOOK OF CHEMICAL VAPOR DEPOSITION (CVD)

CVD法 HANDBOOK OF CHEMICAL VAPOR DEPOSITION (CVD)

时间:2013-6-20 10:45:36 作者:1078852859 来源:CV 阅读:1952次
CVD法 HANDBOOK OF CHEMICAL VAPOR DEPOSITION  (CVD)
HANDBOOK OF CHEMICAL VAPOR DEPOSITION  (CVD)
Principles, Technology, and Applications
Second Edition
NOYES PUBLICATIONS
Park Ridge, New Jersey, U.S.A.
WILLIAM ANDREW PUBLISHING, LLC
Norwich, New York, U.S.A.
by
Hugh O. Pierson
Consultant and Sandia National Laboratories (retired)
Albuquerque, New MexicoCopyright © 1999 by Noyes Publications
No part of this book may be reproduced or
utilized in any form or by any means, elec-
tronic or mechanical, including photocopying,
recording or by any information storage and
retrieval system, without permission in writing
from the Publisher.
Library of Congress Catalog Card Number: 99-26065
ISBN: 0-8155-1432-8
Printed in the United States
Published in the United States of America by
Noyes Publications / William Andrew Publishing, LLC
Norwich, New York, U.S.A.
10 9 8 7 6 5 4 3 2 1
Library of Congress Cataloging-in-Publication Data
Pierson, Hugh O.
Handbook of chemical vapor deposition / by Hugh O. Pierson. -- 2nd ed.
p.cm.
Rev. ed. of: Handbook of chemical vapor deposition (CVD), c1992
Includes bibliographical references.
ISBN 0-8155-1432-8
1. Chemical vapor depostion Handbooks, manuals, etc. 2. Vapor
-plating Handbook, manuals, etc.  I. Pierson, Hugh O.  Handbook of
chemical vapor deposition (CVD)  II. Title.
TS695.P52 1999
671.7'35--dc21 99-26065
    CIPAbout the Author
NOYES PUBLICATIONS
WILLIAM ANDREW PUBLISHING, LLC
Hugh Pierson is presently a private
consultant in Chemical Vapor Deposition.
He was formerly the head of the Deposition
Laboratory at the Sandia National
Laboratories and is now retired. Since then,
he has been a consultant to the U.S. State
Department in South America; Ultramet in
Pacoima, California; LOF in Toledo, Ohio;
the Gorham Institute in Maine; REI in
Whittier, California; TH Goldschmidt AG
in Germany; and to many other companies.
A graduate of the University of Paris
and the French Naval College, Mr.  Pierson
has  published over one hundred technical
publications in the field of Chemical Vapor
Deposition. He has also  been a contributor
to a book on Chemically Vapor Deposited
Coatings published by the American
Ceramic Society, and has authored three
books.v
MATERIALS SCIENCE AND PROCESS TECHNOLOGY SERIES
Series Editors
Rointan F. Bunshah, University of California, Los Angeles
Gary E. McGuire, Microelectronics Center of North Carolina
Stephen M. Rossnagel, IBM Thomas J. Watson Research Center
Electronic Materials and Process Technology
CHARACTERIZATION OF SEMICONDUCTOR MATERIALS, Volume 1: edited by Gary E.
McGuire
CHEMICAL VAPOR DEPOSITION FOR MICROELECTRONICS: by Arthur Sherman
CHEMICAL VAPOR DEPOSITION OF TUNGSTEN AND TUNGSTEN SILICIDES: by John E.
J. Schmitz
CHEMISTRY OF SUPERCONDUCTOR MATERIALS: edited by Terrell A. Vanderah
CONTACTS TO SEMICONDUCTORS: edited by Leonard J. Brillson
DIAMOND CHEMICAL VAPOR DEPOSITION: by Huimin Liu and David S. Dandy
DIAMOND FILMS AND COATINGS: edited by Robert F. Davis
DIFFUSION PHENOMENA IN THIN FILMS AND MICROELECTRONIC MATERIALS: edited by
Devendra Gupta and Paul S. Ho
ELECTROCHEMISTRY OF SEMICONDUCTORS AND ELECTRONICS: edited by John
McHardy and Frank Ludwig
ELECTRODEPOSITION: by Jack W. Dini
HANDBOOK OF CARBON, GRAPHITE, DIAMONDS AND FULLERENES: by Hugh O.
Pierson
HANDBOOK OF CHEMICAL VAPOR DEPOSITION, Second Edition: by Hugh O. Pierson
HANDBOOK OF COMPOUND SEMICONDUCTORS: edited by Paul H. Holloway and Gary
E. McGuire
HANDBOOK OF CONTAMINATION CONTROL IN MICROELECTRONICS: edited by Donald
L. Tolliver
HANDBOOK OF DEPOSITION TECHNOLOGIES FOR FILMS AND COATINGS, Second
Edition: edited by Rointan F. Bunshah
HANDBOOK OF ION BEAM PROCESSING TECHNOLOGY: edited by Jerome J. Cuomo,
Stephen M. Rossnagel, and Harold R. Kaufman
HANDBOOK OF MAGNETO-OPTICAL DATA RECORDING: edited by Terry McDaniel and
Randall H. Victora
HANDBOOK OF MULTILEVEL METALLIZATION FOR INTEGRATED CIRCUITS: edited by
Syd R. Wilson, Clarence J. Tracy, and John L. Freeman, Jr.
HANDBOOK OF PLASMA PROCESSING TECHNOLOGY: edited by Stephen M. Rossnagel,
Jerome J. Cuomo, and William D. Westwood
HANDBOOK OF POLYMER COATINGS FOR ELECTRONICS, 2nd Edition: by James Licari
and Laura A. Hughes
HANDBOOK OF REFRACTORY CARBIDES AND NITRIDES: by Hugh O. Piersonvi Series
HANDBOOK OF SEMICONDUCTOR SILICON TECHNOLOGY: edited by William C. O’Mara,
Robert B. Herring, and Lee P. Hunt
HANDBOOK OF SEMICONDUCTOR WAFER CLEANING TECHNOLOGY: edited by Werner
Kern
HANDBOOK OF SPUTTER DEPOSITION TECHNOLOGY: by Kiyotaka Wasa and Shigeru
Hayakawa
HANDBOOK OF THIN FILM DEPOSITION PROCESSES AND TECHNIQUES: edited by Klaus
K. Schuegraf
HANDBOOK OF VACUUM ARC SCIENCE AND TECHNOLOGY: edited by Raymond L.
Boxman, Philip J. Martin, and David M. Sanders
HANDBOOK OF VLSI MICROLITHOGRAPHY: edited by William B. Glendinning and John
N. Helbert
HIGH DENSITY PLASMA SOURCES: edited by Oleg A. Popov
HYBRID MICROCIRCUIT TECHNOLOGY HANDBOOK, Second Edition: by James J. Licari
and Leonard R. Enlow
IONIZED-CLUSTER BEAM DEPOSITION AND EPITAXY: by Toshinori Takagi
MOLECULAR BEAM EPITAXY: edited by Robin F. C. Farrow
SEMICONDUCTOR MATERIALS AND PROCESS TECHNOLOGY HANDBOOK: edited by
Gary E. McGuire
ULTRA-FINE PARTICLES: edited by Chikara Hayashi, R. Ueda and A. Tasaki
Ceramic and Other Materials—Processing and Technology
ADVANCED CERAMIC  PROCESSING AND TECHNOLOGY, Volume 1:  edited by Jon G. P.
Binner
CEMENTED TUNGSTEN CARBIDES: by Gopal S. Upadhyaya
CERAMIC CUTTING TOOLS: edited by E. Dow Whitney
CERAMIC FILMS AND COATINGS: edited by John B. Wachtman and Richard A. Haber
CORROSION OF GLASS, CERAMICS AND CERAMIC SUPERCONDUCTORS: edited by
David E. Clark and Bruce K. Zoitos
FIBER REINFORCED CERAMIC COMPOSITES: edited by K. S. Mazdiyasni
FRICTION AND WEAR TRANSITIONS OF MATERIALS: by Peter J. Blau
HANDBOOK OF CERAMIC GRINDING AND POLISHING: edited by Ioan D. Mavinescu, Hans
K. Tonshoff, and Ichiro Inasaki
HANDBOOK OF INDUSTRIAL REFRACTORIES TECHNOLOGY: by Stephen C. Carniglia
and Gordon L. Barna
SHOCK WAVES FOR INDUSTRIAL APPLICATIONS: edited by Lawrence E. Murr
SOL-GEL TECHNOLOGY FOR THIN FILMS, FIBERS, PREFORMS, ELECTRONICS AND
SPECIALTY SHAPES: edited by Lisa C. Klein
SOL-GEL SILICA: by Larry L. Hench
SPECIAL MELTING AND PROCESSING TECHNOLOGIES: edited by G. K. Bhat
SUPERCRITICAL FLUID CLEANING: edited by John McHardy and Samuel P. Sawanviii
Chemical vapor deposition (CVD) has grown very rapidly in the
last twenty years and applications of this fabrication process are now
key elements in many industrial products, such as semiconductors,
optoelectronics, optics, cutting tools,  refractory fibers, filters and many
others. CVD is no longer a laboratory curiosity but a major technology
on par with other major technological disciplines such as electrodepo-
sition, powder metallurgy, or conventional ceramic processing.
The estimated market for CVD products is very large and
predicted to reach almost three billion dollars in 1993, in the U.S.
alone. The rapid development of the technology and the expansion of
the market are expected to continue in the foreseeable future.
The reasons for the success of CVD are simple:
•CVD is a relatively uncomplicated and flexible tech-
nology which can accommodate many variations.
•With CVD, it is possible to coat almost any shape of
almost any size.
•Unlike other thin film techniques such as sputtering
CVD can also be used to produce fibers, monoliths,
foams and powders.
•CVD is economically competitive.
Preface to the First EditionPreface to the First Edition     ix
Still the technology faces many challenges and a large research
and development effort is under way in most major laboratories in the
U.S., Japan and Europe, particularly in the semiconductor and tool
industries. The general outlook is one of constant change as new
designs, new products and new materials are continuously being
introduced.
Generally CVD is a captive operation and an integral part of a
fabrication process, particularly in microelectronics where most manu-
facturers have their own CVD facilities. It is also an international
business, and, while the U.S. is still in the lead, a great deal of work
is under way, mostly in Japan and Europe. A significant trend is the
internationalization of the CVD industry, with many multi-national
ventures.
Several books have recently been published on the subject of
CVD, each dealing with a specific aspect of the technology, such as
CVD for microelectronics or metallo-organic CVD. With many of his
colleagues, the author has felt the need for a general, systematic,
objective, and balanced review solely devoted to CVD, which would
cover all its scientific, engineering, and applications aspects, coordi-
nate the divergent trends found today in the CVD business, promote
interaction and sharpen the focus of research and development.
To fill this need is the purpose of this book, which should be
useful to students, scientists, and engineers, as well as production and
marketing managers and suppliers of materials, equipment and ser-
vices.
The author is fortunate to have the opportunity, as a consultant,
to review and study CVD processes, equipment, materials and appli-
cations for a wide cross-section of the industry, in the fields of optics,
optoelectronics, metallurgy and others. He is in a position to retain an
overall viewpoint difficult to obtain otherwise.
The book is divided into three major parts. The first covers a
theoretical examination of the CVD process, a description of the
major chemical reactions and a review of the CVD systems and
equipment used in research and production, including the advanced
subprocesses such as plasma, laser, and photon CVD.x Preface to the First Edition
NOTICE
To the best of our knowledge the information in this publication is
accurate; however the Publisher does not assume any responsibility
or liability for the accuracy or completeness of, or consequences
arising from, such information.  This book is intended for informational
purposes only.  Mention of trade names or commercial products does
not constitute endorsement or recommendation for use by the Publisher.
Final determination of the suitability of any information or product
for use contemplated by any user, and the manner of that use, is the
sole responsibility of the user.  We recommend that anyone intending
to rely on any recommendation of materials or procedures mentioned
in this publication should satisfy himself as to such suitability, and
that he can meet all applicable safety and health standards.
The second part is a review of the materials deposited by CVD,
i.e., metals, non-metallic elements, ceramics and semiconductors,
and the reactions used in their deposition.
The third part identifies and describes the present and potential
applications of CVD in semiconductors and electronics, in optics and
optoelectronics, in the coating of tools, bearings and other wear- and
corrosion-resistant products, and in the automobile, aerospace, and
other major industries.
The author is indebted to Dr. Jacob Stiglich and other members
of the staff at Ultramet for their cooperation, and to an old friend, Dr.
John M. Blocher Jr., for his many ideas, comments and thorough
review of the manuscript. He is also grateful to George Narita,
Executive Editor of Noyes Publications, for his help and patience in
the preparation of this book.
February 1992Hugh Pierson
Albuquerque, New Mexicovii
Since the publication of the first edition of the  Handbook of
Chemical Vapor Deposition in early 1992, the technology has devel-
oped at a rapid rate and the number and scope of its applications and
their impact of the market have increased considerably. The size of the
CVD market today (1998) is estimated to be at least double that of the
market six years ago. These factors led to the need to revise and
expand the first edition of the Handbook.
Two major contributors to this rapid growth are plasma CVD and
metallo-organic CVD (MOCVD). Both are extensively reviewed in
this new edition. Likewise, the growing importance of CVD in the
production of semiconductor and related applications is emphasized
with a systematic and detailed analysis of the role of CVD in this field.
Also noted is the rapid expansion of a number of materials
produced by CVD, which include copper, tungsten, diamond, silicon
carbide, silicon nitride, titanium nitride, and others. The coverage of
the chemistry and deposition techniques of these materials has been
greatly expanded.
December 1997Hugh Pierson
Sandia Park, New Mexico
Preface to the Second EditionContents     xi
xi
Contents
1Introduction and General Considerations ............................25
1.0INTRODUCTION ................................................................................25
1.1Definition of CVD.........................................................................26
1.2Advantages and Limitations of CVD............................................27
2.0HISTORICAL  PERSPECTIVE .............................................................28
3.0THE APPLICATIONS OF CVD ...........................................................29
4.0 PROFILE OF THE CVD BUSINESS.....................................................30
4.1CVD Research..............................................................................30
4.2 Internationalization of the CVD/PVD Business...........................31
4.3Captive Production.......................................................................31
4.4The Cost of CVD..........................................................................31
4.5 CVD Equipment ...........................................................................32
4.6CVD/PVD Integration ...................................................................32
4.7Metallo-organic CVD and Plasma CVD........................................32
5.0BOOK OBJECTIVES ............................................................................33xii Contents
6.0BACKGROUND REFERENCES ...........................................................33
6.1 Periodicals................................................................................34
6.2Conferences....................................................................................
REFERENCES ................................................................................35
2Fundamentals of Chemical Vapor Deposition ......................36
1.0INTRODUCTION ................................................................................36
1.1Theoretical Analysis....................................................................37
2.0THERMODYNAMICS OF CVD...........................................................38
2.1 ∆G Calculations and Reaction Feasibility....................................38
2.2Thermodynamic Equilibrium and Computer Programs.................41
3.0KINETICS AND MASS-TRANSPORT MECHANISMS .....................44
3.1Deposition Sequence...................................................................44
3.2Deposition in a CVD Flow Reactor...............................................46
3.3Boundary Layer............................................................................47
3.4Gas Velocity................................................................................48
3.5Temperature................................................................................49
3.6Reactant-Gas Concentration........................................................50
3.7Rate-Limiting Steps......................................................................50
3.8Surface-Reaction Kinetics............................................................51
3.9Mass Transport............................................................................51
3.10 Control of Limiting Step...............................................................52
3.11 Pressure as Rate-Limiting Factor..................................................52
3.12Mathematical Expressions of the Kinetics of CVD.......................53
4.0GROWTH MECHANISM AND STRUCTURE OF DEPOSIT ..............53
4.1Deposition Mechanism and Epitaxy....................................................53
4.2Epitaxy54
4.3Gas Phase Precipitation.......................................................................55
4.4Thermal Expansion..............................................................................55
4.5 Structure and Morphology of CVD Materials....................................56
4.6Control of CVD Microstructure...........................................................56
REFERENCES ................................................................................58
3The Chemistry of CVD..........................................................68
1.0CATEGORIES OF CVD REACTIONS ..................................................68
1.1Thermal-Decomposition (or Pyrolysis) Reactions........................68
1.2Hydrogen Reduction....................................................................69
1.3Coreduction................................................................................70
1.4Metal Reduction of the Halides....................................................71
1.5Oxidation and Hydrolysis Reactions............................................72
1.6Carbidization and Nitridation........................................................73
2.0CVD PRECURSORS .............................................................................73
3.0HALIDE PRECURSORS.......................................................................74
3.1Halogens................................................................................74
3.2Halide Formation or Halogenation...............................................75Contents     xiii
3.3Halide Properties..........................................................................76
4.0METAL-CARBONYL PRECURSORS ..................................................77
4.1Characteristics of the Carbonyls..................................................77
4.2Carbonyl Preparation....................................................................79
4.3Metal Carbonyl Complexes...........................................................79
5.0HYDRIDE PRECURSORS ....................................................................81
REFERENCES ................................................................................83
4Metallo-Organic CVD (MOCVD)........................................84
1.0INTRODUCTION ................................................................................84
2.0MOCVD PROCESS AND EQUIPMENT ..............................................85
3.0MOCVD PRECURSORS: ALKYL, ALICYCLIC, AND ARYL
COMPOUNDS ................................................................................86
3.1Alkyls87
3.2Alicyclic Compounds...................................................................87
3.3Aryl Compounds..........................................................................88
4.0ACETYLACETONATE COMPOUNDS ...............................................90
5.0MOCVD REACTIONS FOR THE DEPOSITION OF METALS ............91
5.1Aluminum ................................................................................93
5.2Cadmium ................................................................................93
5.3Chromium ................................................................................93
5.4Copper................................................................................93
5.5Gold ................................................................................94
5.6. Nickel ................................................................................94
5.7. Platinum ................................................................................94
5.8. Iridium ................................................................................94
5.9. Rhodium ................................................................................94
5.10Tin ................................................................................95
5.11 Titanium ................................................................................95
6.0MOCVD REACTIONS FOR THE DEPOSITION OF CARBIDES AND
NITRIDES ................................................................................95
6.1Chromium Carbide ........................................................................95
6.2Titanium Carbide..........................................................................95
6.3Aluminum Nitride .........................................................................96
6.4Boron Nitride................................................................................96
6.5Silicon Nitride ...............................................................................96
6.6Titanium Nitride ............................................................................96
7.0MOCVD REACTIONS FOR THE DEPOSITION OF OXIDES ..............97
7.1Aluminum Oxide ...........................................................................97
7.2Chromium Oxide ...........................................................................97
7.3Hafnium Oxide ..............................................................................97
7.4Iron Oxide................................................................................97
7.5Silicon Dioxide ..............................................................................98
7.6Tantalum Oxide.............................................................................98
7.7Tin Oxide ................................................................................98
7.8Titanium Oxide .............................................................................98
7.9Zinc Oxide ................................................................................99xiv Contents
7.10 Zirconium Oxide ..........................................................................99
7.11 Titanates................................................................................99
7.12 Superconductors ..........................................................................99
8.0MOCVD REACTIONS FOR THE DEPOSITION OF III–V AND II–VI
COMPOUNDS ..............................................................................100
8.1III–V Compounds[64][65] ...........................................................100
8.2II–VI Compounds.......................................................................100
9.0GENERAL APPLICATIONS OF MOCVD..........................................100
REFERENCES ..............................................................................101
5CVD Processes and Equipment..........................................108
1.0INTRODUCTION ..............................................................................108
1.1CVD Processes...........................................................................108
1.2CVD Coatings.............................................................................109
1.3Composite Nature of Coatings...................................................109
2.0CLOSED AND OPEN REACTOR ......................................................110
2.1Closed Reactor...........................................................................110
2.2Open Reactor..............................................................................110
3.0REACTANT SUPPLY ........................................................................111
3.1Reactant Transport.....................................................................111
3.2Reactant Purity and Contamination............................................116
4.0THERMAL CVD: DEPOSITION SYSTEM AND REACTOR DESIGN117
4.1Heating Methods.......................................................................117
4.2Atmospheric and Low-Pressure Reactors..................................121
5.0EXHAUST AND BY-PRODUCT DISPOSAL ....................................124
6.0LASER AND PHOTO CVD................................................................126
6.1Laser CVD126
6.2Photo CVD128
7.0CHEMICAL VAPOR INFILTRATION (CVI) .....................................129
8.0FLUIDIZED-BED CVD.......................................................................131
9.0PLASMA CVD134
9.1Principles of Plasma Deposition.................................................134
9.2Types of Plasma.........................................................................135
9.3Glow-Discharge (Microwave) Plasma.........................................136
9.4Electron Cyclotron Resonance (ECR).........................................137
9.5RF Plasma ..............................................................................139
9.6Arc Plasma..............................................................................139
9.7Characteristics of Plasma CVD...................................................140
9.8Materials Deposited by Plasma CVD..........................................142
REFERENCES ..............................................................................144
6The CVD of Metals.............................................................147
1.0INTRODUCTION ..............................................................................147
2.0ALUMINUM ..............................................................................148
2.1Characteristics and Properties....................................................148
2.2CVD Reactions...........................................................................149Contents     xv
2.3Applications..............................................................................150
3.0BERYLLIUM ..............................................................................150
3.1Characteristics and Properties....................................................150
3.2CVD Reactions...........................................................................151
3.3Applications..............................................................................151
4.0CHROMIUM ..............................................................................151
4.1Characteristics and Properties....................................................151
4.2CVD Reactions...........................................................................151
4.3Applications..............................................................................153
5.0COPPER ..............................................................................153
5.1Characteristics and Properties....................................................153
5.2CVD Reactions...........................................................................154
5.3Applications..............................................................................154
6.0GOLD ..............................................................................154
6.1Characteristics and Properties....................................................154
6.2CVD Reactions...........................................................................155
6.3Applications..............................................................................155
7.0MOLYBDENUM ..............................................................................156
7.1Characteristics and Properties....................................................156
7.2CVD Reactions...........................................................................156
7.3Applications..............................................................................158
8.0 NICKEL ..............................................................................158
8.1Characteristics and Properties....................................................158
8.2CVD Reactions...........................................................................159
8.3Applications..............................................................................160
9.0NIOBIUM (COLUMBIUM) ...............................................................160
9.1Characteristics and Properties....................................................160
9.2CVD Reactions...........................................................................161
9.3Applications..............................................................................162
10.0 PLATINUM AND PLATINUM GROUP METALS ...........................162
10.1 Characteristics and Properties....................................................162
10.2 Platinum ..............................................................................162
10.3Applications ..............................................................................163
10.4 Iridium ..............................................................................164
10.5Applications ..............................................................................164
10.6 Rhodium and Ruthenium............................................................164
11.0RHENIUM ..............................................................................165
11.1 Characteristics and Properties....................................................165
11.2 CVD Reactions...........................................................................166
11.3Applications ..............................................................................167
12.0 TANTALUM ..............................................................................168
12.1 Characteristics and Properties....................................................168
12.2 CVD Reactions...........................................................................168
12.3Applications ..............................................................................169
13.0 TITANIUM ..............................................................................169
13.1 Characteristics and Properties....................................................169
13.2 CVD Reactions...........................................................................170xvi Contents
13.3Applications..............................................................................171
14.0TUNGSTEN ..............................................................................171
14.1 Characteristics and Properties....................................................171
14.2 CVD Reactions...........................................................................172
14.3Applications..............................................................................173
15.0 OTHER METALS ..............................................................................174
15.1Cadmium ..............................................................................174
15.2 Iron..............................................................................174
15.3Tin ..............................................................................175
16.0INTERMETALLICS ...........................................................................175
16.1 Titanium Aluminides..................................................................176
16.2Ferro-Nickel ..............................................................................176
16.3 Nickel-Chromium ........................................................................176
16.4 Tungsten-Thorium.....................................................................177
16.5 Niobium-Germanium ...................................................................177
REFERENCES ..............................................................................177
7The CVD of the Allotropes of Carbon................................185
1.0THE ALLOTROPES OF CARBON.....................................................185
2.0THE CVD OF GRAPHITE ..................................................................186
2.1Structure of Graphite..................................................................186
2.2Properties of CVD Graphite........................................................186
2.3The CVD of Graphite..................................................................187
2.4Deposition Mechanism..............................................................189
2.5Structural Features of CVD Graphite..........................................189
2.6Effects of Deposition Parameters...............................................191
2.7Plasma-CVD of Graphite .............................................................192
2.8Fluidized-Bed CVD of Graphite...................................................193
2.9Applications of CVD Graphite....................................................193
3.0THE CVD OF DIAMOND ..................................................................194
3.1The Structure of Diamond..........................................................194
3.2Characteristics and Properties of Diamond................................194
3.3Deposition Mechanism of CVD Diamond..................................195
3.4CVD Processes for Diamond: Plasma Deposition.......................199
3.5Thermal CVD (Hot Filament) ......................................................203
3.6Applications of CVD Diamond...................................................204
4.0THE CVD OF DIAMOND-LIKE-CARBON (DLC) .............................206
4.1Structure of DLC........................................................................206
4.2Graphite, Diamond, and DLC......................................................206
4.3Summary of the Properties of DLC.............................................207
4.4The CVD of DLC........................................................................208
4.5Applications of DLC..................................................................210
REFERENCES ..............................................................................211
8The CVD of Non-Metallic Elements..................................217
1.0INTRODUCTION ..............................................................................217Contents     xvii
2.0THE CVD OF BORON........................................................................217
2.1Properties of Boron....................................................................217
2.2CVD Reactions...........................................................................218
2.3Applications..............................................................................219
3.0THE CVD OF SILICON ......................................................................219
3.1Characteristics and Properties of Silicon....................................219
3.2CVD Reactions...........................................................................221
3.3Applications of CVD Silicon......................................................223
4.0THE CVD OF GERMANIUM.............................................................224
4.1Characteristics and Properties....................................................224
4.2CVD Reactions...........................................................................225
4.3Applications..............................................................................226
REFERENCES ..............................................................................226
9The CVD of Ceramic Materials: Carbides........................231
1.0INTRODUCTION ..............................................................................231
1.1Refractory-Metal (Interstitial) Carbides......................................232
1.2Non-Metallic (Covalent) Carbides..............................................233
2.0THE CVD OF BORON CARBIDE ......................................................234
2.1Characteristics and Properties....................................................234
2.2CVD Reactions...........................................................................236
2.3Applications..............................................................................236
3.0THE CVD OF CHROMIUM CARBIDE ..............................................237
3.1Characteristics and Properties....................................................237
3.2CVD Reactions...........................................................................237
3.3Applications..............................................................................237
4.0THE CVD OF HAFNIUM CARBIDE .................................................239
4.1Characteristics and Properties....................................................239
4.2CVD Reactions...........................................................................239
4.3Applications..............................................................................241
5.0THE CVD OF NIOBIUM CARBIDE ...................................................241
5.1Characteristics and Properties....................................................241
5.2CVD Reactions...........................................................................242
5.3Applications..............................................................................243
6.0THE CVD OF SILICON CARBIDE .....................................................243
6.1Characteristics and Properties....................................................243
6.2CVD Reactions...........................................................................245
6.3Applications..............................................................................246
7.0THE CVD OF TANTALUM CARBIDE .............................................247
7.1Characteristics and Properties....................................................247
7.2CVD Reactions...........................................................................249
7.3Applications..............................................................................249
8.0THE CVD OF TITANIUM CARBIDE ................................................249
8.1Characteristics and Properties....................................................249
8.2CVD Reactions...........................................................................251
8.3Applications..............................................................................252xviii Contents
9.0THE CVD OF TUNGSTEN CARBIDE ................................................253
9.1Characteristics and Properties....................................................253
9.2CVD Reactions...........................................................................255
9.3Applications..............................................................................255
10.0 THE CVD OF ZIRCONIUM CARBIDE ..............................................256
10.1 Characteristics and Properties....................................................256
10.2 CVD Reactions...........................................................................256
10.3Applications..............................................................................258
11.0 THE CVD OF MISCELLANEOUS CARBIDES ..................................258
REFERENCES ..............................................................................259
10The CVD of Ceramic Materials: Nitrides..........................265
1.0GENERAL CHARACTERISTICS OF NITRIDES ...............................265
1.1Refractory-Metal (Interstitial) Nitrides.......................................265
1.2Covalent Nitrides........................................................................266
2.0THE CVD OF ALUMINUM NITRIDE ...............................................267
2.1Characteristics and Properties....................................................267
2.2CVD Reactions...........................................................................269
2.3Applications..............................................................................270
3.0THE CVD OF HEXAGONAL BORON NITRIDE................................270
3.1Characteristics and Properties of h-BN......................................270
3.2CVD Reactions...........................................................................272
3.3Applications..............................................................................273
4.0THE CVD OF CUBIC BORON NITRIDE ............................................274
4.1Characteristics and Properties of c-BN.......................................274
4.2CVD Reactions...........................................................................275
4.3Other Boron Nitride Structures...................................................275
5.0THE CVD OF HAFNIUM NITRIDE ...................................................275
5.1Characteristics and Properties....................................................275
5.2CVD Reactions...........................................................................277
5.3Applications..............................................................................277
6.0THE CVD OF NIOBIUM NITRIDE ....................................................278
6.1Characteristics and Properties....................................................278
6.2CVD Reactions...........................................................................279
6.3Applications..............................................................................279
7.0THE CVD OF SILICON NITRIDE ......................................................279
7.1Characteristics and Properties....................................................279
7.2CVD Reactions...........................................................................280
7.3Applications..............................................................................282
8.0THE CVD OF TITANIUM NITRIDE..................................................283
8.1Characteristics and Properties....................................................283
8.2CVD Reactions...........................................................................285
8.3Applications..............................................................................287
9.0THE CVD OF TITANIUM CARBONITRIDE.....................................287
10.0 THE CVD OF OTHER NITRIDES ......................................................288
REFERENCES ..............................................................................288Contents     xix
11The CVD of Ceramic Materials: Oxides...........................295
1.0INTRODUCTION ..............................................................................295
2.0ALUMINUM OXIDE.........................................................................296
2.1Characteristics and Properties....................................................296
2.2CVD Reactions...........................................................................296
2.3Applications..............................................................................298
3.0CHROMIUM OXIDE .........................................................................298
3.1Characteristics and Properties....................................................298
3.2CVD Reactions...........................................................................299
3.3Applications..............................................................................299
4.0HAFNIUM OXIDE ............................................................................300
4.1Characteristics and Properties....................................................300
4.2CVD Reactions...........................................................................300
4.3Applications..............................................................................301
5.0SILICON DIOXIDE ............................................................................302
5.1Characteristics and Properties....................................................302
5.2CVD Reactions...........................................................................303
5.3Applications..............................................................................305
6.0TANTALUM OXIDE ........................................................................305
6.1Characteristics and Properties....................................................305
6.2CVD Reactions...........................................................................306
6.3Applications..............................................................................307
7.0TIN OXIDE ..............................................................................307
7.1Characteristics and Properties....................................................307
7.2CVD Reactions...........................................................................308
7.3Applications..............................................................................308
8.0TITANIUM OXIDE ...........................................................................309
8.1Characteristics and Properties....................................................309
8.2CVD Reactions...........................................................................309
8.3Applications..............................................................................310
9.0ZIRCONIUM OXIDE .........................................................................311
9.1Characteristics and Properties....................................................311
9.2CVD Reactions...........................................................................312
9.3Applications..............................................................................313
10.0 OTHER OXIDES ..............................................................................313
10.1 Iron Oxide..............................................................................313
10.2 Zinc Oxide ..............................................................................314
11.0MIXED OXIDES AND GLASSES ......................................................315
11.1 Titanates ..............................................................................315
11.2Magnesia Aluminate (Spinel).....................................................315
11.3 Glasses..............................................................................316
12.0OXIDE SUPERCONDUCTORS ..........................................................317
REFERENCES ..............................................................................317xx Contents
12The CVD of Ceramic Materials: Borides, Silicides, III–V
Compounds and II–VI Compounds (Chalcogenides) .........323
1.0BORIDES ..............................................................................323
1.1General Characteristics and Properties.......................................323
1.2Boriding ..............................................................................324
1.3Direct Boride Deposition............................................................325
1.4Applications..............................................................................327
2.0SILICIDES ..............................................................................327
2.1Characteristics and Properties....................................................327
2.2Siliconizing ..............................................................................328
2.3Molybdenum Disilicide..............................................................329
2.4Applications..............................................................................330
2.5Tantalum Disilicide .....................................................................330
2.6Applications..............................................................................330
2.7Titanium Disilicide ......................................................................331
2.8Applications..............................................................................331
2.9Tungsten Disilicide....................................................................332
2.10Applications3..............................................................................32
2.11 Other Silicides...........................................................................333
3.0III–V COMPOUNDS ..........................................................................333
3.1Characteristics and Properties....................................................333
3.2CVD Reactions.., .........................................................................335
3.3Applications..............................................................................336
4.0II–VI COMPOUNDS (CHALCOGENIDES) ........................................336
4.1Characteristics and Properties....................................................336
4.2CVD Reactions...........................................................................338
4.3Applications..............................................................................339
REFERENCES ..............................................................................339
13CVD in Electronic Applications: Semiconductors ..............345
1.0INTRODUCTION ..............................................................................345
2.0ELECTRONIC FUNCTIONS AND SYSTEMS ...................................346
2.1Conductors, Semiconductors, and Insulators............................347
2.2Categories of Electronic Devices................................................348
2.3Modern Circuit Characteristics...................................................348
2.4Three-Dimensional Structures....................................................349
2.5Strained-Layer Superlattice (SLS)...............................................350
2.6Thermal Budget..........................................................................351
3.0CVD IN ELECTRONIC TECHNOLOGY .............................................352
4.0SILICON ..............................................................................352
4.1Comparison of Properties...........................................................352
4.2Single Crystal Processing...........................................................354
4.3Epitaxial Silicon...........................................................................354
4.4Polysilicon..............................................................................355
5.0GERMANIUM ..............................................................................356
6.0III–V AND II–VI COMPOUNDS ........................................................356Contents     xxi
6.1General Characteristics...............................................................356
6.2Gallium Arsenide ........................................................................357
6.3Other Gallium Compounds.........................................................357
7.0SILICON CARBIDE ...........................................................................359
7.1General Characteristics...............................................................359
7.2Advantages of Silicon Carbide...................................................360
7.3Thermal Stability........................................................................360
8.0DIAMOND ..............................................................................361
8.1General Characteristics...............................................................361
8.2Advantages of Semiconductor Diamond...................................362
8.3Drawbacks of Diamond Semiconductor.....................................362
8.4Potential Applications................................................................363
9.0PROCESSING EQUIPMENT FOR CVD ELECTRONIC MATERIALS363
REFERENCES ..............................................................................364
14CVD in Electronic Applications: Conductors, Insulators, and
Diffusion Barriers................................................................367
1.0INTRODUCTION ..............................................................................367
2.0THE CVD OF ELECTRICAL CONDUCTORS ....................................367
2.1Step Coverage and Conformity..................................................367
2.2Aluminum and Electromigration.................................................369
2.3Refractory Metals.......................................................................369
2.4Copper..............................................................................371
2.5Silicides ..............................................................................371
2.6Trends in Metallization...............................................................372
3.0THE CVD OF ELECTRICAL INSULATORS (DIELECTRICS) ............373
3.1Silicon Dioxide (SiO2).................................................................373
3.2Silicon Nitride .............................................................................374
4.0THE CVD OF SUBSTRATES (HEAT SINKS) ....................................374
4.1The Need for Heat Dissipation...................................................374
4.2Heat-Sink Materials....................................................................375
4.3Diamond Heat-Sinks...................................................................375
5.0THE CVD OF DIFFUSION BARRIERS ..............................................376
5.1Principle of Diffusion Barriers....................................................376
5.2Diffusion Barrier Materials.........................................................377
5.3Example of Diffusion Barrier .......................................................378
6.0THE CVD OF SUPERCONDUCTORS ................................................378
REFERENCES ..............................................................................380
15CVD in Optoelectronic and Ferroelectric Applications.....................384
1.0CVD IN OPTOELECTRONICS ...........................................................384
2.0OPTOELECTRONIC MATERIALS ...................................................386
2.1Critical Properties.......................................................................386
2.2Silicon..............................................................................386
2.3III–V and II–VI Compounds.......................................................386
3.0OPTOELECTRONIC CVD APPLICATIONS ......................................387
3.1Light Emitting Diodes (LED)......................................................389xxii Contents
3.2Light Detectors...........................................................................390
3.3Semiconductor Lasers................................................................391
3.4MOCVD and MBE......................................................................392
4.0CVD IN PHOTOVOLTAIC.................................................................393
4.1Photovoltaic Principle and Operation.........................................393
4.2Photovoltaic Materials and Processing......................................394
4.3Photovoltaic Applications.........................................................397
5.0CVD IN FERROELECTRICITY...........................................................398
5.1CVD Ferroelectric Materials.......................................................399
5.2Applications of Ferroelectric CVD Materials..............................400
REFERENCES ..............................................................................400
16CVD in Optical Applications ...............................................403
1.0INTRODUCTION ..............................................................................403
2.0OPTICAL CHARACTERISTICS ........................................................404
3.0OPTICAL MATERIALS PRODUCED BY CVD .................................405
4.0OPTICAL APPLICATIONS OF CVD.................................................406
4.1Antireflection Coatings..............................................................406
4.2Reflective Coatings....................................................................407
4.3Heat and Light Separation Coatings..........................................407
4.4Electrically Conductive Transparent Coatings...........................410
4.5Architectural-Glass Coating.......................................................411
4.6Infrared Optics...........................................................................414
4.7Trends in CVD Optical Applications..........................................416
5.0CVD IN OPTICAL-FIBER PROCESSING ...........................................417
5.1Optical Considerations...............................................................417
5.2CVD Production of Optical Fibers..............................................420
5.3Infrared (IR) Transmission.........................................................423
REFERENCES ..............................................................................424
17CVD in Wear-and Corrosion-Resistant Applications ........426
1.0INTRODUCTION ..............................................................................426
2.0WEAR MECHANISMS .....................................................................427
2.1Mechanical Wear.......................................................................428
2.2Corrosive Wear..........................................................................429
2.3Temperature Effects...................................................................429
3.0CVD MATERIALS FOR WEAR- AND CORROSION-RESISTANCE430
3.1Wear- and Corrosion-Resistance Materials...............................430
3.2Wear and Corrosion Resistance Applications of CVD Coatings434
4.0CVD IN CORROSION-RESISTANT APPLICATIONS .......................436
4.1CVD Metals for Corrosion Resistance Applications..................437
4.2CVD Borides for Corrosion-Resistance Applications................439
4.3CVD Carbides for Corrosion-Resistance Applications...............440
4.4CVD Nitrides for Corrosion-Resistant Applications..................441
4.5CVD Oxides for Corrosion-Resistance Applications..................442
4.6CVD Silicides for Corrosion-Resistance Applications...............443
4.7Oxidation Protection of Carbon-Carbon Composites.................443Contents     xxiii
5.0DECORATIVE APPLICATIONS OF CVD .........................................446
6.0NUCLEAR APPLICATIONS OF CVD ...............................................446
6.1Nuclear-Fission Applications.....................................................446
6.2Nuclear Fusion Applications......................................................446
7.0BIOMEDICAL APPLICATIONS OF CVD .........................................447
REFERENCES ..............................................................................449
18CVD in Cutting-Tool Applications ......................................452
1.0INTRODUCTION ..............................................................................452
2.0CUTTING-TOOL REQUIREMENTS ..................................................453
2.1Categories of Machining............................................................453
2.2Wear and Failure Mechanisms...................................................454
3.0COATING PROCESSES AND SUBSTRATE INTERACTION ...........454
4.0CUTTING-TOOL MATERIALS (SUBSTRATE) ................................456
4.1High-Speed Tool Steel...............................................................456
4.2Cemented-Carbide Cutting Tools...............................................457
4.3Ceramic Cutting Tools................................................................457
4.4Diamond Cutting Tools..............................................................458
4.5Cubic Boron Nitride (c-BN) Cutting Tools.................................459
5.0CUTTING-TOOL MATERIALS (COATINGS) ..................................460
5.1Titanium Compounds.................................................................460
5.2Diamond and DLC Coatings.......................................................462
REFERENCES ..............................................................................462
19CVD in Fiber, Powder, and Monolithic Applications ..........464
1.0INTRODUCTION ..............................................................................464
2.0CVD IN FIBER APPLICATIONS .......................................................464
2.1Competing Processes.................................................................465
2.2Materials and Applications of Inorganic Fibers.........................465
2.3The CVD Process for Fiber Production......................................467
2.4The CVD of Boron Fibers...........................................................468
2.5The CVD of Silicon-Carbide Fibers.............................................470
2.6Other Refractory-Fiber Materials................................................472
2.7CVD Coatings for Fibers............................................................473
2.8Whiskers..............................................................................474
3.0CVD IN POWDER APPLICATIONS ..................................................475
3.1Ceramic-Powder Production.......................................................475
3.2CVD Process for Ceramic-Powder Production............................475
3.3CVD Metal Powders...................................................................477
3.4Coated Powders by CVD............................................................478
4.0CVD IN MONOLITHIC AND COMPOSITE APPLICATIONS ..........478
4.1Graphite, Carbon-Carbon, and Boron Nitride CVD Structures...479
4.2Monolithic Metallic Structures...................................................480
4.3CVD Ceramic Composites ...........................................................480
REFERENCES ..............................................................................482xxiv Contents
Conversion Guide ......................................................................486
Appendix: Alternative Processes for Thin-Film Deposition and
Surface Modification ...........................................................490
1.0PHYSICAL VAPOR DEPOSITION (PVD) ..........................................490
2.0EVAPORATION491
2.1Principle of Evaporation.............................................................491
2.2Reactive Evaporation.................................................................491
2.3Plasma Evaporation....................................................................492
2.4Molecular Beam Epitaxy.............................................................492
2.5Typical Applications of Evaporation.........................................492
3.0SPUTTERING493
3.1Principle of Sputtering................................................................493
3.2Reactive Sputtering....................................................................494
3.3Sputtering Techniques...............................................................494
3.4Examples of Sputtered Films.......................................................495
4.0ION PLATING495
5.0THERMAL SPRAY ...........................................................................496
5.1Principle of Thermal Spray.........................................................496
5.2Heat Sources496
5.3Reactive Thermal Spray..............................................................497
5.4Typical Applications..................................................................497
6.0SOLGEL ..............................................................................497
REFERENCES ..............................................................................498
Index ...........................................................................................499486 Handbook of Chemical Vapor Deposition
4861952
国家标准下载

下载说明:
1.请先分享,再下载
2.直接单击下载地址,不要使用“目标另存为”
3.压缩文件请先解压
4.PDF文件,请用PDF专用软件打开查看
5.如果资料不能下载,请联系本站
最新评论
发表评论
大名:
联络: QQ 或者 邮箱
内容:不能超过250字,需审核,请自觉遵守互联网相关政策法规。

验证码: 8465